Articles via Databases
Articles via Journals
Online Catalog
E-books
Research & Information Literacy
Interlibrary loan
Theses & Dissertations
Collections
Policies
Services
About / Contact Us
Administration
Littman Architecture Library
This site will be removed in January 2019, please change your bookmarks.
This page will redirect to https://digitalcommons.njit.edu/theses/698 in 5 seconds

The New Jersey Institute of Technology's
Electronic Theses & Dissertations Project

Title: Formation & characterization of p/n shallow junctions in sub-micron MOSFETs
Author: Chawda, Samrat G
View Online: njit-etd2002-060
(xii, 64 pages ~ 3.4 MB pdf)
Department: Committee for the Interdisciplinary Program in Materials Science and Engineering
Degree: Master of Science
Program: Materials Science and Engineering
Document Type: Thesis
Advisory Committee: Ravindra, N. M. (Committee co-chair)
Fiory, Anthony (Committee co-chair)
Ivanov, Dentcho V. (Committee member)
Abedrabbo, Sufian (Committee member)
Date: 2002-08
Keywords: Sub-micron MOSFETs
Shallow junctions
Complementary metal oxide semiconductors (CMOS)
Availability: Unrestricted
Abstract:

The formation of shallow junctions in the source and drain regions is a major challenge to the continued success of scaling of complementary metal oxide semiconductors (CMOS) circuits. The formation of these device structures requires low-energy ion implantation and rapid thermal annealing (RTA). One of the processes which has been shown to be advantageous is spike annealing, with fast ramping and short dwell time at maximum temperature. This work is a study of the effects of implant energy, implant dose and annealing cycles on the reverse-bias leakage current in the diode junction. The reversebias leakage is the study of junction quality. Low leakage is ideal, but for some experimental processes, leakage is found to be high. Experiments have been performed on p/n diode samples, which were annealed by various methods. The methods of annealing include spike anneals by (a) arc lamp, (b) incandescent lamp and (c) flash annealing. Implant conditions were typically ultra-low energy B implants (0.5 keV & 5 keV), which also included Ge pre-amorphization implants (PAI).

A general observation is that the junctions with least leakage are obtained for B implants without PAI. When the PAI step is included, the best shallow junctions are obtained if the PAI depth is greater than the junction depth, because of the damage produced by PAI. Flash annealing of B implants with PAI showed very high leakage, when compared to conventional spike annealing, apparently because it does not sufficiently anneal out the implant damage.


If you have any questions please contact the ETD Team, libetd@njit.edu.

 
ETD Information
Digital Commons @ NJIT
Theses and DIssertations
ETD Policies & Procedures
ETD FAQ's
ETD home

Request a Scan
NDLTD

NJIT's ETD project was given an ACRL/NJ Technology Innovation Honorable Mention Award in spring 2003